Browse by Research Project Code

Up a level
Export as [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0

Wang, X., Brown, A. R., Cheng, B., Roy, S. and Asenov, A. (2014) Drain bias effects on statistical variability and reliability and related subthreshold variability in 20-nm bulk planar MOSFETs. Solid-State Electronics, 98, pp. 99-105. (doi: 10.1016/j.sse.2014.04.017)

Wang, X., Cheng, B., Brown, A. R., Millar, C., Kuang, J. B., Nassif, S. and Asenov, A. (2013) Statistical variability and reliability and the impact on corresponding 6T-SRAM cell design for a 14-nm node SOI FinFET technology. IEEE Design and Test, 30(6), pp. 18-28. (doi: 10.1109/MDAT.2013.2266395)

Wang, X., Cheng, B., Brown, A.R., Millar, C., Kuang, J.B., Nassif, S. and Asenov, A. (2013) Interplay between process-induced and statistical variability in 14-nm CMOS technology double-gate SOI FinFETs. IEEE Transactions on Electron Devices, 60(8), pp. 2485-2492. (doi: 10.1109/TED.2013.2267745)

Wang, X., Adamu-Lema, F., Cheng, B. and Asenov, A. (2013) Geometry, temperature, and body bias dependence of statistical variability in 20-nm bulk CMOS technology: a comprehensive simulation analysis. IEEE Transactions on Electron Devices, 60(5), pp. 1547-1554. (doi: 10.1109/TED.2013.2254490)

Wang, X., Brown, A.R., Cheng, B. and Asenov, A. (2013) Drain bias impact on statistical variability and reliability in 20 nm bulk CMOS technology. In: 14th International Conference on Ultimate Integration on Silicon (ULIS), Warwick, UK, 19-21 March 2013. IEEE, pp. 65-68. ISBN 978-1-4673-4800-3 (doi: 10.1109/ULIS.2013.6523492)

Wang, X., Roy, G., Saxod, O., Bajolet, A., Juge, A. and Asenov, A. (2012) Simulation study of dominant statistical variability sources in 32-nm high-k/metal gate CMOS. IEEE Electron Device Letters, 33(5), pp. 643-645. (doi: 10.1109/LED.2012.2188268)

Aldegunde, M., Martinez, A. and Asenov, A. (2011) Non-equilibrium Green’s function analysis of cross section and channel length dependence of phonon scattering and its impact on the performance of Si nanowire field effect transistors. Journal of Applied Physics, 110(9), 094518. (doi: 10.1063/1.3658856)

Benbakhti, B. et al. (2011) Numerical analysis of the new implant-free quantum-well CMOS: DualLogic approach. Solid-State Electronics, 63(1), pp. 14-18. (doi: 10.1016/j.sse.2011.05.006)

Martinez, A., Aldegunde, M., Seoane, N., Brown, A.R., Barker, J.R. and Asenov, A. (2011) Quantum-transport study on the impact of channel length and cross sections on variability induced by random discrete dopants in narrow gate-all-around silicon nanowire transistors. IEEE Transactions on Electron Devices, 58(8), pp. 2209-2217. (doi: 10.1109/TED.2011.2157929)

Garcia-Loureiro, A.J., Seoane, N., Aldegunde, M., Valin, R., Asenov, A. , Martinez, A. and Kalna, K. (2011) Implementation of the density gradient quantum corrections for 3-D simulations of multigate nanoscaled transistors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 30(6), pp. 841-851. (doi: 10.1109/TCAD.2011.2107990)

Markov, S., Idris, N.M. and Asenov, A. (2011) Statistical variability in n-channel UTB-FD-SOI MOSFETs under the influence of RDF, LER, MGG and PBTI. In: 2011 IEEE International SOI Conference, Tempe, AZ, 3-6 Oct 2011, pp. 1-2. (doi: 10.1109/SOI.2011.6081680)

Wang, X., Brown, A.R., Cheng, B. and Asenov, A. (2011) Statistical variability and reliability in nanoscale FinFETs. In: IEEE International Electron Devices Meeting (IEDM), Washington DC, USA, 5-7 Dec 2011, 5.4.1-5.4.4. (doi: 10.1109/IEDM.2011.6131494)

Markov, S., Roy, S. and Asenov, A. (2010) Direct tunnelling gate leakage variability in nano-CMOS transistors. IEEE Transactions on Electron Devices, 57(11), pp. 3106-3114. (doi: 10.1109/TED.2010.2075932)

Kovac, U., Alexander, C., Roy, G., Riddet, C., Cheng, B.J. and Asenov, A. (2010) Hierarchical Simulation of Statistical Variability: From 3-D MC With "ab initio" Ionized Impurity Scattering to Statistical Compact Models. IEEE Transactions on Electron Devices, 57(10), pp. 2418-2426. (doi: 10.1109/TED.2010.2062517)

Martinez, A., Seoane, N., Brown, A.R., Barker, J.R. and Asenov, A. (2010) Variability in Si nanowire MOSFETs due to the combined effect of interface roughness and random dopants: a fully three-dimensional NEGF simulation study. IEEE Transactions on Electron Devices, 57(7), pp. 1626-1635. (doi: 10.1109/TED.2010.2048405)

Bukhori, M.F., Roy, S. and Asenov, A. (2010) Simulation of statistical aspects of charge trapping and related degradation in bulk MOSFETs in the presence of random discrete dopants. IEEE Transactions on Electron Devices, 57(4), pp. 795-803. (doi: 10.1109/TED.2010.2041859)

This list was generated on Wed Mar 27 14:58:41 2024 GMT.