Browse by Research Project Code

Up a level
Export as [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0

Kalna, K., Wilson, J.A., Moran, D.A.J., Hill, R.J.W., Long, A.R., Droopad, R., Passlack, M., Thayne, I.G. and Asenov, A. (2007) Monte Carlo simulations of high-performance implant free In0.3Ga0.7 nano-MOSFETs for low-power CMOS applications. IEEE Transactions on Nanotechnology, 6(1), pp. 106-112. (doi: 10.1109/TNANO.2006.888543)

Moran, D.A.J., McLelland, H., Elgaid, K., Whyte, G., Stanley, C.R. and Thayne, I. (2006) 50-nm self-aligned and 'standard' T-gate InP pHEMT comparison: the influence of parasitics on performance at the 50-nm node. IEEE Transactions on Electron Devices, 53(12), pp. 2920-2925. (doi: 10.1109/TED.2006.885674)

Thayne, I., Elgaid, K., Holland, M., McLelland, H., Moran, D.A.J., Thoms, S. and Stanley, C. (2006) 50 nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: 2006 International Conference on Indium Phosphide and Related Materials, Princeton, New Jersey, USA, 7-11 May 2006, pp. 181-184. ISBN 0780395581 (doi: 10.1109/ICIPRM.2006.1634143)

Elgaid, K., McLelland, H., Holland, M., Moran, D.A.J., Stanley, C.R. and Thayne, I.G. (2005) 50-nm T-gate metamorphic GaAs HEMTs with fT of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26(11), pp. 784-786. (doi: 10.1109/LED.2005.857716)

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

This list was generated on Fri Apr 19 14:05:16 2024 BST.